Home GADGETS First Details About Samsung’s 1.4nm Process Emerge

First Details About Samsung’s 1.4nm Process Emerge

Samsung said that its upcoming SF1.4 (1.4nm-class) process technology will increase the number of nanosheets from three to four, Jeong Gi-Tae, vice president of Samsung Foundry, told The Elec, reports DigiTimes. The move promises to bring significant benefits for performance and power consumption.

Samsung was the first company to introduce a process technology that relies on gate-all-around (GAA) nanosheet transistors with its SF3E (also known as 3nm-class gate-all-around ear, 3GAE) in mid-2022. The company uses the technology to make various chips, but it is believed that usage of the node is limited to tiny chips, such as those used for cryptocurrency mining. Next year Samsung plans to introduce its SF3 technology, which is poised to be used by a wider range of applications. In 2025, Samsung plans to roll out its performance-enhanced SF3P technology that is designed with data center CPUs and GPUs in mind.

(Image credit: Samsung)

Also in 2025, Samsung expects to introduce its SF2 (2nm-class) fabrication process, which will not only rely on GAA transistors, but will also feature backside power delivery, which brings substantial benefits when it comes to transistor density and power delivery,

Source link